Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 12 de 12
Filtrar
Más filtros











Base de datos
Intervalo de año de publicación
1.
ACS Appl Electron Mater ; 5(8): 4514-4522, 2023 Aug 22.
Artículo en Inglés | MEDLINE | ID: mdl-37637974

RESUMEN

The optimized geometry and also the electronic and transport properties of passivated edge armchair antimonene nanoribbons (ASbNRs) are studied using ab initio calculations. Due to quantum confinement, the size of the bandgap can be modulated from 1.2 eV to 2.4 eV (indirect), when the width is reduced from 5 nm to 1 nm, respectively. This study focuses on nanoribbons with a width of 5 nm (5-ASbNR) due to its higher potential for fabrication and an acceptable bandgap for electronic applications. Applying uniaxial compressive and tensile strain results in a reduction of the bandgap of the 5-ASbNR film. The indirect to direct bandgap transition was observed, when introducing a tensile strain of more than +4%. Moreover, when a compressive strain above 9% is introduced, semi-metallic behavior can be observed. By applying compressive (tensile) strain, the hole (electron) effective mass is reduced, thereby increasing the mobility of charge carriers. The study demonstrates that the carrier mobility of ASbNR-based nanoelectronic devices can be modulated by applying tensile or compressive strain on the ribbons.

2.
Micromachines (Basel) ; 14(3)2023 Mar 16.
Artículo en Inglés | MEDLINE | ID: mdl-36985072

RESUMEN

It is becoming quite evident that, when it comes to the further scaling of advanced node transistors, increasing the flash memory storage capacity, and enabling the on-chip integration of multiple functionalities, "there's plenty of room at the top". The fabrication of vertical, three-dimensional features as enablers of these advanced technologies in semiconductor devices is commonly achieved using plasma etching. Of the available plasma chemistries, SF6/O2 is one of the most frequently applied. Therefore, having a predictive model for this process is indispensable in the design cycle of semiconductor devices. In this work, we implement a physical SF6/O2 plasma etching model which is based on Langmuir adsorption and is calibrated and validated to published equipment parameters. The model is implemented in a broadly applicable in-house process simulator ViennaPS, which includes Monte Carlo ray tracing and a level set-based surface description. We then use the model to study the impact of the mask geometry on the feature profile, when etching through circular and rectangular mask openings. The resulting dimensions of a cylindrical hole or trench can vary greatly due to variations in mask properties, such as its etch rate, taper angle, faceting, and thickness. The peak depth for both the etched cylindrical hole and trench occurs when the mask is tapered at about 0.5°, and this peak shifts towards higher angles in the case of high passivation effects during the etch. The minimum bowing occurs at the peak depth, and it increases with an increasing taper angle. For thin-mask faceting, it is observed that the maximum depth increases with an increasing taper angle, without a significant variation between thin masks. Bowing is observed to be at a maximum when the mask taper angle is between 15° and 20°. Finally, the mask etch rate variation, describing the etching of different mask materials, shows that, when a significant portion of the mask is etched away, there is a notable increase in vertical etching and a decrease in bowing. Ultimately, the implemented model and framework are useful for providing a guideline for mask design rules.

3.
Nanomaterials (Basel) ; 12(20)2022 Oct 18.
Artículo en Inglés | MEDLINE | ID: mdl-36296844

RESUMEN

During the last few decades, the microelectronics industry has actively been investigating the potential for the functional integration of semiconductor-based devices beyond digital logic and memory, which includes RF and analog circuits, biochips, and sensors, on the same chip. In the case of gas sensor integration, it is necessary that future devices can be manufactured using a fabrication technology which is also compatible with the processes applied to digital logic transistors. This will likely involve adopting the mature complementary metal oxide semiconductor (CMOS) fabrication technique or a technique which is compatible with CMOS due to the inherent low costs, scalability, and potential for mass production that this technology provides. While chemiresistive semiconductor metal oxide (SMO) gas sensors have been the principal semiconductor-based gas sensor technology investigated in the past, resulting in their eventual commercialization, they need high-temperature operation to provide sufficient energies for the surface chemical reactions essential for the molecular detection of gases in the ambient. Therefore, the integration of a microheater in a MEMS structure is a requirement, which can be quite complex. This is, therefore, undesirable and room temperature, or at least near-room temperature, solutions are readily being investigated and sought after. Room-temperature SMO operation has been achieved using UV illumination, but this further complicates CMOS integration. Recent studies suggest that two-dimensional (2D) materials may offer a solution to this problem since they have a high likelihood for integration with sophisticated CMOS fabrication while also providing a high sensitivity towards a plethora of gases of interest, even at room temperature. This review discusses many types of promising 2D materials which show high potential for integration as channel materials for digital logic field effect transistors (FETs) as well as chemiresistive and FET-based sensing films, due to the presence of a sufficiently wide band gap. This excludes graphene from this review, while recent achievements in gas sensing with graphene oxide, reduced graphene oxide, transition metal dichalcogenides (TMDs), phosphorene, and MXenes are examined.

4.
Nat Electron ; 5(6): 356-366, 2022.
Artículo en Inglés | MEDLINE | ID: mdl-35783488

RESUMEN

Electronic devices based on two-dimensional semiconductors suffer from limited electrical stability because charge carriers originating from the semiconductors interact with defects in the surrounding insulators. In field-effect transistors, the resulting trapped charges can lead to large hysteresis and device drifts, particularly when common amorphous gate oxides (such as silicon or hafnium dioxide) are used, hindering stable circuit operation. Here, we show that device stability in graphene-based field-effect transistors with amorphous gate oxides can be improved by Fermi-level tuning. We deliberately tune the Fermi level of the channel to maximize the energy distance between the charge carriers in the channel and the defect bands in the amorphous aluminium gate oxide. Charge trapping is highly sensitive to the energetic alignment of the Fermi level of the channel with the defect band in the insulator, and thus, our approach minimizes the amount of electrically active border traps without the need to reduce the total number of traps in the insulator.

5.
Micromachines (Basel) ; 13(4)2022 Apr 12.
Artículo en Inglés | MEDLINE | ID: mdl-35457908

RESUMEN

Due to the great success of the initial Special Issue on Miniaturized Transistors [...].

6.
Adv Mater ; 34(48): e2201082, 2022 Dec.
Artículo en Inglés | MEDLINE | ID: mdl-35318749

RESUMEN

Within the last decade, considerable efforts have been devoted to fabricating transistors utilizing 2D semiconductors. Also, small circuits consisting of a few transistors have been demonstrated, including inverters, ring oscillators, and static random access memory cells. However, for industrial applications, both time-zero and time-dependent variability in the performance of the transistors appear critical. While time-zero variability is primarily related to immature processing, time-dependent drifts are dominated by charge trapping at defects located at the channel/insulator interface and in the insulator itself, which can substantially degrade the stability of circuits. At the current state of the art, 2D transistors typically exhibit a few orders of magnitude higher trap densities than silicon devices, which considerably increases their time-dependent variability, resulting in stability and yield issues. Here, the stability of currently available 2D electronics is carefully evaluated using circuit simulations to determine the impact of transistor-related issues on the overall circuit performance. The results suggest that while the performance parameters of transistors based on certain material combinations are already getting close to being competitive with Si technologies, a reduction in variability and defect densities is required. Overall, the criteria for parameter variability serve as guidance for evaluating the future development of 2D technologies.

7.
ACS Omega ; 6(29): 18770-18781, 2021 Jul 27.
Artículo en Inglés | MEDLINE | ID: mdl-34337217

RESUMEN

The first-principles calculation of pristine, B-, Al-, Ga-, Sb-, and Bi-doped blue phosphorene (BlueP) with adsorbed SO2, NO, and NO2 gas molecules including the transport and optical properties is reported. The electronic structures of pristine and doped BlueP are investigated, and the modifications in electronic band structures and density (DOS) of states are studied. The most considerable adsorption energies of BlueP after being exposed to paramagnetic gas molecules NO and NO2 show excellent sensitivity to the considered gas molecules, which is confirmed by the current-voltage characteristics. The pristine and doped BlueP can be encouraging alternatives for new-generation optical gas sensors due to notable alterations in the pristine and doped BlueP optical spectra.

8.
Materials (Basel) ; 12(15)2019 Jul 28.
Artículo en Inglés | MEDLINE | ID: mdl-31357746

RESUMEN

There is a growing demand in the semiconductor industry to integrate many functionalities on a single portable device. The integration of sensor fabrication with the mature CMOS technology has made this level of integration a reality. However, sensors still require calibration and optimization before full integration. For this, modeling and simulation is essential, since attempting new, innovative designs in a laboratory requires a long time and expensive tests. In this manuscript we address aspects for the modeling and simulation of semiconductor metal oxide gas sensors, devices which have the highest potential for integration because of their CMOS-friendly fabrication capability and low operating power. We analyze recent advancements using FEM models to simulate the thermo-electro-mechanical behavior of the sensors. These simulations are essentials to calibrate the design choices and ensure low operating power and improve reliability. The primary consumer of power is a microheater which is essential to heat the sensing film to appropriately high temperatures in order to initiate the sensing mechanism. Electro-thermal models to simulate its operation are presented here, using FEM and the Cauer network model. We show that the simpler Cauer model, which uses an electrical circuit to model the thermo-electrical behavior, can efficiently reproduce experimental observations.

9.
Micromachines (Basel) ; 10(5)2019 May 02.
Artículo en Inglés | MEDLINE | ID: mdl-31052516

RESUMEN

Complementary Metal Oxide Semiconductor (CMOS) devices and fabrication techniques have enabled tremendous technological advancements in a short period of time [...].

10.
Sensors (Basel) ; 19(2)2019 Jan 17.
Artículo en Inglés | MEDLINE | ID: mdl-30658488

RESUMEN

Semiconducting metal oxide (SMO) gas sensors were designed, fabricated, and characterized in terms of their sensing capability and the thermo-mechanical behavior of the micro-hotplate. The sensors demonstrate high sensitivity at low concentrations of volatile organic compounds (VOCs) at a low power consumption of 10.5 mW. In addition, the sensors realize fast response and recovery times of 20 s and 2.3 min, respectively. To further improve the baseline stability and sensing response characteristics at low power consumption, a novel sensor is conceived of and proposed. Tantalum aluminum (TaAl) is used as a microheater, whereas Pt-doped SnO2 is used as a thin film sensing layer. Both layers were deposited on top of a porous silicon nitride membrane. In this paper, two designs are characterized by simulations and experimental measurements, and the results are comparatively reported. Simultaneously, the impact of a heat pulsing mode and rubber smartphone cases on the sensing performance of the gas sensor are highlighted.

11.
Micromachines (Basel) ; 9(12)2018 Nov 29.
Artículo en Inglés | MEDLINE | ID: mdl-30501054

RESUMEN

Semiconductor device dimensions have been decreasing steadily over the past several decades, generating the need to overcome fundamental limitations of both the materials they are made of and the fabrication techniques used to build them. Modern metal gates are no longer a simple polysilicon layer, but rather consist of a stack of several different materials, often requiring multiple processing steps each, to obtain the characteristics needed for stable operation. In order to better understand the underlying mechanics and predict the potential of new methods and materials, technology computer aided design has become increasingly important. This review will discuss the fundamental methods, used to describe expected topology changes, and their respective benefits and limitations. In particular, common techniques used for effective modeling of the transport of molecular entities using numerical particle ray tracing in the feature scale region will be reviewed, taking into account the limitations they impose on chemical modeling. The modeling of surface chemistries and recent advances therein, which have enabled the identification of dominant etch mechanisms and the development of sophisticated chemical models, is further presented. Finally, recent advances in the modeling of gate stack pattering using advanced geometries in the feature scale are discussed, taking note of the underlying methods and their limitations, which still need to be overcome and are actively investigated.

12.
Sensors (Basel) ; 15(4): 7206-27, 2015 Mar 25.
Artículo en Inglés | MEDLINE | ID: mdl-25815445

RESUMEN

The integration of gas sensor components into smart phones, tablets and wrist watches will revolutionize the environmental health and safety industry by providing individuals the ability to detect harmful chemicals and pollutants in the environment using always-on hand-held or wearable devices. Metal oxide gas sensors rely on changes in their electrical conductance due to the interaction of the oxide with a surrounding gas. These sensors have been extensively studied in the hopes that they will provide full gas sensing functionality with CMOS integrability. The performance of several metal oxide materials, such as tin oxide (SnO2), zinc oxide (ZnO), indium oxide (In2O3) and indium-tin-oxide (ITO), are studied for the detection of various harmful or toxic cases. Due to the need for these films to be heated to temperatures between 250°C and 550°C during operation in order to increase their sensing functionality, a considerable degradation of the film can result. The stress generation during thin film deposition and the thermo-mechanical stress that arises during post-deposition cooling is analyzed through simulations. A tin oxide thin film is deposited using the efficient and economical spray pyrolysis technique, which involves three steps: the atomization of the precursor solution, the transport of the aerosol droplets towards the wafer and the decomposition of the precursor at or near the substrate resulting in film growth. The details of this technique and a simulation methodology are presented. The dependence of the deposition technique on the sensor performance is also discussed.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA